游客,欢迎访问首都图书馆! 帮助中心 您的建议
| 纸书荐购 | 收藏
中国朝医学:方剂学卷.方剂学卷 国家出版基金项目 “十二五”国家重点出版物出版规划项目

作者:

金明玉主编

出版社:

延边大学出版社
出版时间:2015.12
ISBN:978-7-5634-9047-9

本书主要内容包括:朝医方剂学的起源与发展;朝医方剂学分类;朝医方剂学的组成原则与组成变化;方剂与治则;四象人药物归象;朝医剂型;方剂的服法等。

数字系统设计与Verilog HDL 畅销教参,前3版本均超万册。

作者:

王金明编著

出版社:

电子工业出版社
出版时间:2011.01
ISBN:978-7-121-12425-9

本书以Quartus II、Synplify Pro软件为平台,以Verilog-1995和Verilog-2001语言标准为依据,以可综合的设计为重点,以大量经过验证的数字设计实例,系统阐述数字系统设计的方法与技术。

CST天线仿真与工程设计 作者多年从事超宽带天线、单极天线设计,在多个专业论坛开展讲座和发表技术文章。本书将点击率高和排行靠前的有影响力的技术内容整理出来,重点讲述天线设计要点和经验。

作者:

金明涛编著

出版社:

电子工业出版社
出版时间:2014.06
ISBN:978-7-121-23299-2

本书使用商业电磁仿真软件CST(Computer Simulation Technology)的微波工作室,分别对普通振子天线、宽带振子天线、窄带微带天线、宽带微带天线及75Ω特性阻抗天线进行电磁建模、仿真及分析。全书共5章,精选70余个案例进行介绍,这些模型全部经过CST 2010版本软件的仿真运算,大部分经过了实测。读者可以在本书案例的基础上加以完善和改进,通过使用这本书来设计所需的天线,并使其最优化。

EDA技术与Verilog HDL设计 与《EDA技术与VHDL设计》(电子工业出版社)为姊妹篇。

作者:

出版社:

电子工业出版社
出版时间:2013.07
ISBN:978-7-121-20481-4

本书以提高动手实践能力和工程设计能力为目的,对EDA技术和FPGA设计的相关知识进行系统和完整的介绍。全书共11章,主要内容包括:EDA技术概述、可编程逻辑器件基础、典型FPGA/CPLD的结构与配置等。

数字系统设计与VHDL 作者另一本经典长销书《数字系统设计与Verilog HDL(第6版)》的姊妹篇

作者:

出版社:

电子工业出版社
出版时间:2018.01
ISBN:978-7-121-33250-0

本书根据EDA课程教学要求,以提高数字设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件、VHDL硬件描述语言等。全书以Quartus Prime、ModelSim等软件为平台,以VHDL“87和VHDL”93语言标准为依据,基于DE2-115实验平台,以可综合的设计为重点,通过大量经过验证的数字设计实例,阐述数字系统设计的方法与技术,由浅入深地介绍VHDL工程开发的知识与技能。

能源化学工程概论 能源化工概论,能源概论,能源化工,能源化学工程

作者:

出版社:

化学工业出版社
出版时间:2015.08
ISBN:978-7-122-24227-3

本书从化学与化工学科的视角对现代能源的开发与利用做了较全面的介绍,介绍了化学与化工在现代能源中的交叉渗透情况。全书共9章,包括:绪论、新型煤化工、石油化工、天然气、生物质能、锂离子电池、燃料电池、超级电容器、CO2的捕集与资源化利用。

静力学 国家精品课程配套教材

作者:

出版社:

电子工业出版社
出版时间:2011.11
ISBN:978-7-121-15095-1

本书共6章,内容包括静力学公理与物体受力分析、平面简单力系、平面任意力系、空间力系、摩擦及习题参考答案。

环保设备及应用 普通高等教育“十二五”规划教材

作者:

出版社:

化学工业出版社
出版时间:2014.11
ISBN:978-7-122-21119-4

本书介绍了环保设备材料、泵、风机、管道、阀门、管件及其选用;大气污染控制、污水处理、噪声控制、固体废物处理等领域若干典型设备的原理、结构、选用、设计、运行、管理等知识;环保设备技术经济分析;环保设备课程设计内容。

数字系统设计与Verilog HDL 成熟教材的更新版本,前四版累计销售十多万册。

作者:

王金明编著

出版社:

电子工业出版社
出版时间:2014.07
ISBN:978-7-121-22537-6

本书根据EDA课程教学要求,以提高数字设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件、Verilog硬件描述语言等。全书以Quartus Ⅱ、Synplify Pro软件为平台,以Verilog-1995和Verilog-2001语言标准为依据,以可综合的设计为重点,通过大量经过验证的数字设计实例,阐述数字系统设计的方法与技术,由浅入深地介绍Verilog工程开发的知识与技能。

数字系统设计与Verilog HDL 十多年畅销教材的更新版本,累计销售二十万册

作者:

王金明编著

出版社:

电子工业出版社
出版时间:2016.10
ISBN:978-7-121-30097-4

本书根据EDA课程教学要求,以提高数字设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件、Verilog硬件描述语言等。全书以Quartus Prime、Synplify Pro软件为平台,以Verilog—1995和Verilog—2001语言标准为依据,以可综合的设计为重点,通过大量经过验证的数字设计实例,阐述数字系统设计的方法与技术,由浅入深地介绍Verilog工程开发的知识与技能。

新能源汽车构造原理与维修:双色版 新能源汽车维修快速入门

作者:

出版社:

化学工业出版社
出版时间:2018.03
ISBN:978-7-122-31198-6

本书按照新能源汽车的发展历程,介绍了纯电动汽车、混合动力汽车、燃料电池汽车、气体燃料汽车、醇燃料汽车及太阳能汽车六类车型的结构原理与检修和故障诊断等内容。

灌木 景观设计师的好帮手,必备手册

作者:

出版社:

化学工业出版社
出版时间:2014.08
ISBN:978-7-122-20402-8

本书主要针对景观设计中的灌木植物设计而编写。品种选择上立足全国,以各地代表性灌木为主,又增加了近年来园林中应用效果好的新优种类,共计200种(含变种、品种),并考虑到同种下灌木种类繁多,在部分种或变种的园林应用介绍中,多加了一些同属的种、变种或品种介绍。

汽车机械制图 彩色动画视频版汽车机械制图、CAD制图,汽车机械制图与AUTOCAD

作者:

出版社:

化学工业出版社
出版时间:2020.10
ISBN:978-7-122-37277-2

本书以汽车检测与维修技术等专业教学标准为依据,讲述了汽车机械制图的基础知识,零件图、装配图的识读与绘制,AutoCAD制图等内容。

国土综合整治研究 土地管理与房地产前沿丛书

作者:

出版社:

中国人民大学出版社
出版时间:2021.01
ISBN:978-7-300-28665-5

本书从内涵模式和区域统筹两个方面对国土综合整治展开系统研究,提出了新时期国土综合整治的核心内涵及全面推进国土综合整治的十条政策建议。在国土综合整治的内涵模式方面,本书在明晰背景意义、界定基础概念的基础上,借鉴国外国土综合整治经验,分析了国内各个时期国土综合整治研究的阶段演进与发展变化,提出了新时期国土综合整治的内涵理念、目标任务和类型模式。在国土综合整治的区域统筹方面,本书构建了“点+线+面”三个层次的国土综合整治统筹框架。

作者:

出版社:

花城出版社
出版时间:2021.05
ISBN:978-7-5360-9144-3

我是东海书院的一名书生,在导师苏珊的指导下,开始书写大侠高唱与神捕顾盼的武侠故事。想象的世界自由而趣味盎然,高唱沿着大侠的目标一路狂奔,死忠粉神捕顾盼一路追随,窘态百出、笑料不断而又快意恩仇,他们对大魔头水晶宫主的追捕更是一波三折……

作者:

出版社:

哈尔滨出版社
出版时间:2020.06
ISBN:978-7-5484-5282-9

本书是一部心灵励志美文图书,帮助读者在阅读励志美文的同时,唤醒心中的巨人,激发、释放你的潜在能量,给自己内心一次观念性的革命。学习如何复制他人的成功,如何在短短数分钟内重构观念,消除胆怯和恐惧,这本书为我们指点迷津,帮助我们激发无限潜能,从而创造出自己的成功。本书是一部心灵励志美文图书,帮助读者在阅读励志美文的同时,唤醒心中的巨人,激发、释放你的潜在能量,给自己内心一次观念性的革命。学习如何复制他人的成功,如何在短短数分钟内重构观念,消除胆怯和恐惧。

作者:

出版社:

人民邮电出版社
出版时间:2020.06
ISBN:978-7-115-53102-5

本书中包含197个实战案例,均配有视频教学录像,可单独练习,也可跳跃学习。初学者上手操作便能充分体验Photoshop的神奇魅力,获得立竿见影的学习效果。书中还通过Gallery、情景对话、技术看板和提示等形式,剖析了Photoshop核心功能的原理和使用技巧,轻松化解各种疑难问题。

作者:

出版社:

中国人民大学出版社
出版时间:2020.06
ISBN:978-7-300-28347-0

本书分析了土地开发利用在国家、区域和市域三个层面的战略背景与形势;基于现行土地利用总体规划的实施情况,研究了土地资源开发利用管理的实施现状和存在的问题,进而设计了生态文明与“产城融合”发展的土地开发利用战略。以柳州市为例,在分析该市生态环境承载力、产业用地空间布局、少数民族土地利用和立体空间发展的基础上,提出了生态文明与“产城融合”的空间利用模式、产业转型土地差别化调控模式、少数民族土地利用科学发展模式、新型城镇化发展模式和“多规融合”的土地利用模式。

作者:

出版社:

江西科学技术出版社
出版时间:2020.04
ISBN:978-7-5390-7232-6

本书主要阐述了动物解剖实践技能教学多种彩色标本制作方法过程,对用乙醇溶液替代甲醛溶液浸泡标本的制法进行了介绍。还介绍了疫情防控期间如何做好春耕备耕工作、早稻直播技术、蔬菜、中药材、食用菌、果树、春茶、油茶、畜禽、水产养殖等生产技术及应急技术方案,疫情期间如何保障农产品顺利流通,复工复厂的防控指导。

作者:

出版社:

电子科技大学出版社
出版时间:2019.07
ISBN:978-7-5647-7362-5

本书共分为八章,主要内容包括计算机基础(含计算机基础知识、计算机病毒及计算机信息安全、计算机网络基础及因特网、Windows使用基础)、办公自动化软件高级应用、数据结构、程序设计基础、软件工程基础、数据库设计基础。